VHDL/Flip-flop d: diferenças entre revisões

[edição não verificada][edição não verificada]
Conteúdo apagado Conteúdo adicionado
m Nova página: --------------------------------------------- library ieee ; use ieee.std_logic_1164.all; use work.all; --------------------------------------------- entity dff is port( data_in: in...
 
Master (discussão | contribs)
Sem resumo de edição
Linha 1:
{{monomódulo}}
 
---------------------------------------------